WWW.DISS.SELUK.RU

БЕСПЛАТНАЯ ЭЛЕКТРОННАЯ БИБЛИОТЕКА
(Авторефераты, диссертации, методички, учебные программы, монографии)

 

На правах рукописи

КАГРАМАНЯН ЭМИЛЬ РУДОЛЬФОВИЧ

РАЗРАБОТКА МЕТОДОВ И МОДЕЛЕЙ ДЛЯ

ХАРАКТЕРИЗАЦИИ СЛОЖНО-ФУНКЦИОНАЛЬНЫХ

БЛОКОВ КМОП СБИС С УЧЕТОМ ВАРИАЦИЙ

ПАРАМЕТРОВ ТРАНЗИСТОРОВ

Специальность: 05.13.12 - системы автоматизации проектирования

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата технических наук

Москва – 2009

Работа выполнена на кафедре ПКИМС Московского государственного института электронной техники (технического университета).

Научный руководитель: доктор технических наук Гаврилов Сергей Витальевич

Официальные оппоненты: доктор технических наук, доцент Марченко Александр Михайлович кандидат технических наук Герасимов Юрий Михайлович

Ведущая организация: ОАО «Ангстрем-М»

Защита состоится « » 2009 года в часов минут на заседании диссертационного совета Д.212.134.01 при Московском государственном институте электронной техники (техническом университете) по адресу: 124498, Москва, Зеленоград, проезд 4806, д. 5, МИЭТ.

С диссертацией можно ознакомиться в библиотеке Московского государственного института электронной техники (технического университета).

Автореферат разослан « » 2009 г.

Соискатель Каграманян Э.Р.

Ученый секретарь диссертационного совета Д.212.134.01, доктор технических наук, доцент Крупкина Т.Ю.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы.

В течение последних десяти лет стремительные темпы развития технологии интегральных схем (ИС) стали опережать прогнозы, сделанные на заре развития микроэлектроники и выраженные в так называемом законе Мура. Несмотря на некоторые пессимистичные прогнозы конца 90-х годов прошлого века, согласно которым дальнейшее масштабирование КМОП-технологии станет невозможным при достижении размерами транзисторов предельного значения в 100 нм, ведущие фабрики-производители СБИС уже сегодня активно осваивают техпроцессы с размерами транзисторов 45 и 32 нм. Более того, согласно оценкам экспертов ITRS (International Technology Roadmap for Semiconductors), в ближайшие несколько лет наладится массовое производство кристаллов КМОП СБИС с проектной нормой нм.

Параллельно с проблемой технологической реализации проектов нанометровых СБИС в последние годы усугубилась проблема обеспечения приемлемого параметрического выхода годных ИС. Причина этого заключается в следующем: при переходе на глубоко-субмикронные и нанометровые технологии такие факторы, как вариации технологических и внешних параметров, а также эффекты деградации транзисторов, оказывают все более существенное влияние на работоспособность СБИС. Причем, если технологические вариации непосредственно ухудшают параметрический выход годных схем при производстве, то внешние вариации и эффекты деградации транзисторов могут существенно влиять на характеристики схемы уже в процессе ее эксплуатации. Таким образом, для того чтобы точнее прогнозировать быстродействие будущего кристалла СБИС и, тем самым, иметь возможность повысить процент выхода годных, необходимо на ранних стадиях проектирования учитывать влияние вариаций параметров и эффектов деградации на временные характеристики схемы.

Непрерывное развитие методов и моделей для анализа быстродействия СБИС периодически отражается в новых версиях соответствующих программ моделирования таких лидеров производства промышленных САПР СБИС, как Synopsys, Cadence, Mentor Graphics и некоторых других компаний. Это несомненно свидетельствует об актуальности данной проблемы. На сегодняшний день существуют методы и модели для характеризации стандартных библиотечных вентилей и сложно-функциональных (СФ) блоков СБИС на схемотехническом уровне. Однако при переходе на техпроцессы с нанометровыми размерами элементов, в силу увеличения размеров схем и количества варьируемых параметров, схемотехнические методы характеризации, требующие многократного моделирования схемы с учетом всех комбинаций входных и технологических параметров, становятся неэффективными с точки зрения временных и машинных затрат. Следовательно, одной из ключевых задач является ускорение процесса характеризации за счет разработки новых методов и моделей для временного анализа быстродействия сложно-функциональных блоков СБИС с учетом чувствительностей временных параметров к вариациям параметров транзисторов. Для решения этой проблемы необходима модель, сочетающая логику работы схемы и ее структуру с электрическими параметрами на транзисторном уровне. Подобная модель должна позволить достичь существенного ускорения процесса анализа быстродействия.

Данная диссертационная работа посвящена решению этой проблемы.

Цель работы и задачи исследования. Целью диссертационной работы является разработка методов и моделей для ускоренной характеризации сложнофункциональных блоков цифровых КМОП СБИС с учетом вариаций параметров транзисторов.

На основании исследования состояния проблемы для достижения поставленной цели в диссертационной работе решаются следующие задачи:



1. Разработка логико-временных моделей библиотечных элементов и сложнофункциональных блоков для ускорения процесса характеризации по сравнению с существующим подходом, основанном на схемотехническом моделировании;

2. Разработка метода расчета чувствительностей временных параметров КМОП-схем к вариациям параметров транзисторов;

3. Разработка методов и алгоритмов логико-временного анализа для характеризации КМОП-схем с учетом вариаций параметров транзисторов;

4. Апробация предложенных методов с помощью численных экспериментов.

Методика проведения исследования разработанных моделей, методов и алгоритмов включает использование аппарата теории графов, теории электрических цепей и дискретной математики.

Научная новизна результатов, представленных в диссертационной работе, заключается в следующем:

1. Разработана логико-временная модель сложно-функционального блока СБИС, сочетающая в себе логическую функцию и структуру блока на транзисторном уровне, которая позволяет ускорить процесс характеризации за счет перехода со схемотехнического на логико-временной уровень анализа.

2. Разработан метод характеризации чувствительностей временных параметров КМОП-схем к вариациям параметров транзисторов, основанный на предложенных формульных выражениях коэффициентов чувствительностей, который позволяет на этапе логико-временного анализа учитывать влияние изменений параметров транзисторов.

3. Разработан алгоритм поиска критических входных тестовых наборов для решения задачи характеризации сложно-функциональных блоков цифровых СБИС. В отличие от известных подходов, предложенный алгоритм обеспечивает интервальный подход к формированию эквивалентной П–модели блока при оценке быстродействия СБИС на логико-временном уровне абстракции, тем самым позволяя достичь существенного сокращения времени характеризации схемы.

Практическая ценность работы.

Результаты работы могут найти применение при проектировании широкого класса КМОП СБИС на этапе характеризации библиотек стандартных цифровых вентилей и сложно-функциональных блоков. Разработанные методы и алгоритмы могут быть использованы в качестве дополнения к существующим маршрутам верификации цифровых КМОП СБИС в целях ускорения цикла проектирования.

Реализация и внедрение результатов работы.

Проведен цикл исследований с помощью численных экспериментов. На основе полученных результатов разработан и апробирован маршрут проектирования сложно-функциональных блоков цифровых КМОП СБИС с учетом вариаций параметров транзисторов. Разработанные методы и алгоритмы внедрены в ОАО «Ангстрем-М» и ИППМ РАН, а также включены в учебный процесс МИЭТ в форме учебно-методического пособия для лабораторного практикума.

Апробация работы.

Результаты диссертационной работы докладывались и обсуждались на следующих конференциях:

1) XIV Всероссийская межвузовская научно-техническая конференция студентов и аспирантов “Микроэлектроника и информатика” Москва, Зеленоград, 2007;

2) I Всероссийская межвузовская научно-практическая конференция “Актуальные проблемы информатизации. Развитие информационной инфраструктуры, технологий и систем”, Москва, Зеленоград, 2007;

3) XIII Международная открытая научная конференция “Современные проблемы информатизации в моделировании и социальных технологиях”, Воронеж, 2008;

4) XIV Международная научно-техническая конференция студентов и аспирантов “Радиоэлектроника, электротехника и энергетика”, Москва, 2008;

5) III Московско-Баварская студенческая школа MB-JASS, Москва, Зеленоград, 2008;

6) III Всероссийская научно-техническая конференция “Проблемы разработки перспективных микроэлектронных систем”, Москва, 2008.

7) I Окружная научно-техническая конференция молодых ученых и специалистов “Радиоэлектроника, электротехника и энергетика”, Москва, Зеленоград, 2009.

Публикации.

Основные результаты диссертационной работы опубликованы в виде двенадцати научных трудов, в числе которых три статьи в ведущих рецензируемых научных журналах, включенных Высшей аттестационной комиссией Министерства образования и науки Российской Федерации в список изданий, рекомендуемых для опубликования основных научных результатов диссертации на соискание ученой степени кандидата наук.

Структура и объем работы.

Диссертационная работа состоит из введения, пяти глав, заключения и списка используемой литературы из 84 наименований. Основной текст занимает страницу машинописного текста.

ОСНОВНОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность темы, определена цель диссертационной работы, сформулирована постановка задачи диссертационного исследования и основные положения работы, выносимые на защиту. Также приведены основные сведения о результатах обладающих научной новизной, обосновано содержание глав диссертации.

Первая глава посвящена исследованию методов анализа быстродействия СБИС с учетом вариаций и эффектов деградации транзисторов во времени. В целях обоснования и уточнения направления исследования, рассмотрено современное состояние проблемы анализа быстродействия сложно-функциональных блоков КМОП СБИС с учетом вариаций параметров транзисторов. Анализ методов оценки быстродействия СБИС показывает, что программы схемотехнического моделирования являются эталонными с точки зрения точности результатов относительно реальных значений параметров, измеренных на тест-кристаллах. В качестве входной информации такие программы используют описание схемы на транзисторном уровне и задание на моделирование. Однако SPICE-подобные программы, как правило, имеют кубическую сложность алгоритма от числа элементов схемы. Кроме того, для характеризации заказного СФ-блока требуется моделировать схему при всех комбинациях входных сигналов и варьируемых параметров. Это приводит к экспоненциальной зависимости числа прогонов от числа варьируемых параметров и количества входов схемы. Следовательно, для характеризации сложно-функциональных блоков схемотехнические методы неэффективны с точки зрения временных и машинных затрат, то есть необходимы методы позволяющие ускорить процесс характеризации заказных блоков.

Более быстрым способом характеризации СФ-блока является статический временной анализ (СВА). Можно выделить следующие преимущества современных коммерческих программ СВА:

1) алгоритм СВА, как правило, имеет линейную сложность от размеров схемы, что позволяет за приемлемое время проводить анализ быстродействия СБИС, состоящих из десятков миллионов транзисторов;

2) СВА является консервативным методом оценки быстродействия: а именно, завышает оценку задержки длинных путей распространения сигнала в схеме и занижает задержку коротких путей. Это дает разработчику гарантию, что схема будет иметь как минимум то быстродействие, которое было определено в результате СВА;

3) в результате развития современные алгоритмы СВА позволяют учитывать ключевые факторы, определяющие быстродействие глубоко-субмикронных и нанометровых СБИС: влияние межсоединений, выявление ложных путей и т.д.;

4) промышленные программы СВА имеют удобный интерфейс, а входные и выходные форматы данных соответствуют общепринятым стандартам.

Два основных типа СВА – это детерминистический статический временной анализ (ДСВА) и статистический СВА (ССВА). Второй подход является наиболее адекватным методом учета глобальных и локальных вариаций параметров.

Входными данными для статистического временного анализа служат результаты характеризации библиотек стандартных вентилей с учетом вариаций. Процесс характеризации библиотеки при учете вариаций, например, десяти параметров (таких как длина канала транзисторов, напряжение питания, температура, пороговое напряжение, толщина слоя окисла и т.д.) занимает несколько месяцев даже при использовании ста современных процессоров. Следовательно, с точки зрения итогового ускорения процесса анализа быстродействия СФ-блока такой подход не дает желаемого результата. Можно сделать вывод, что для ускорения процесса характеризации необходим переход со схемотехнического на более высокий уровень абстракции.

Кроме ускорения процесса характеризации при условии учета вариаций параметров транзисторов, второй задачей, рассматриваемой в рамках данной диссертационной работы, является учет влияния деградации транзисторов во времени на изменения (вариации) их параметров.

С середины 2002 года в промышленных САПР СБИС стали появляться программы анализа быстродействия, включающие в себя механизмы учета влияния деградации транзисторов во времени на изменения их ключевых параметров. Как правило, эти программы работают на схемотехническом уровне, а включенный в них анализ влияния деградации основан на моделях деградации, которые получены посредством экспериментов, приводящих к ускоренному “старению” кристалла. На рис. 1 представлен общий маршрут анализа быстродействия на схемотехническом уровне с учетом деградации транзисторов во времени.

Рис. 1. Общий маршрут анализа быстродействия схем с учетом деградации транзисторов Необходимо отметить, что модель деградации в представленном маршруте, как правило, встроена в программу схемотехнического анализа, а технологические коэффициенты этой модели поставляются разработчикам СБИС в виде отдельного файла. Соответствующие модели на логико-временном уровне отсутствуют.

Проведенные исследования позволяют сделать вывод о необходимости перехода от схемотехнического к логико-временному уровню анализа схем для ускорения процесса характеризации СФ-блоков СБИС, а также создания моделей для учета вариаций параметров транзисторов на логико-временном уровне абстракции.

Решению данных задач посвящена вторая глава. Методы анализа логики для полностью заказных схем развиваются на протяжении последних двадцати лет.

Одним из основных направлений можно считать так называемые методы анализа переключательной логики. Признанным авторитетом в данном направлении исследований является профессор Рэндел Брайант из университета Карнеги Мэллоун (г. Питтсбург). Один из предложенных им методов анализа логики основан на использовании распространенного варианта представления цифровой схемы в виде BDD - диаграммы двоичных решений [Randal E. Bryant, Symbolic Boolean Manipulation with Ordered Binary Decision Diagrams]. Однако, хотя BDD довольно эффективна для анализа логических состояний, она не отражает структуру схемы на транзисторном уровне и, как следствие, не содержит полной информации для расчета задержек, емкостей узлов и проводимостей путей схемы. К тому же, для некоторых практических случаев, BDD имеет экспоненциальную зависимость сложности от размеров схемы, что при большом размере схемы делает ее использование неэффективным.

Вторым исследованным подходом к анализу логики является представление схемы в виде последовательно-параллельного направленного ациклического графа (SP-DAG-графа). В работах Р. Брайанта исследованы свойства подобных графов и предложены методы анализа логики на их основе. Вершинами SP-DAG-графа являются выходы цифровой схемы, а корнями – ее входы. Последовательные и параллельные соединения транзисторов представлены операциями И и ИЛИ.

SP-DAG-граф имеет однозначную схемную интерпретацию только в случае SPдерева. Однако при проектировании сложных заказных блоков часто используются элементы (например, КМОП-ключи), которые нарушают SP-структуру. Кроме того, такая модель схемы не включает в себя механизмов для временного анализа с учетом вариаций, так как целью Р. Брайанта был только анализ логики схемы, причем только для SP-структур: он не ставил задачи учета ее электрических свойств.

Учитывая выявленные особенности и недостатки SP-DAG-графа, в рамках диссертационной работы предложена модификация SP-DAG-графа, позволяющая учитывать структуру схемы на транзисторном уровне. Предложенная графовая модель представляет схему как совокупность двух поддеревьев путей, которые соответствуют установке значений логических “1” и “0” на выходе. На рис. приведен пример построения такой графовой модели КМОП-вентиля. Корни графа представляют собой затворы pМОП (обозначены *.v0) и nМОП (обозначены *.v1) транзисторов, подключенных к входам схемы. Знаком “+” отмечены параллельные соединения транзисторов, а знаком “*” – последовательные.

Рис. 2. Пример КМОП-вентиля: принципиальная схема (a); графовая модель (б) Графовая модель, построенная таким способом, не несет в себе достаточной информации для однозначного воспроизведения структуры схемы. В диссертационной работе этот недостаток предлагается устранить введением специального “списка резолюций” в процессе экстракции логики, который является важной отличительной особенностью предложенного подхода. На каждом шаге редукции при построении графовой модели в данный список включаются все двухполюсники, соединенные с исключенным узлом. Впоследствии этот список используется для анализа логических состояний внутренних узлов схемы, а также для перерасчета емкостей.

Единичный шаг редукции может быть реализован двумя возможными способами:

1) удаление элемента и соединение двух узлов, к которым он был соединен;

2) удаление узла и преобразование всех элементов, соединенных к данному Второй подход используется в так называемом методе гауссовского исключения и его модификациях, таких как TICER [B.N. Sheehan, TICER: Realizable Reduction of Extracted RC Circuits]. Алгоритм редуцирования схемы и формирования модели СФблока состоит из следующих основных шагов:

Шаг 1: Выбрать очередной узел для гауссовского исключения;

Шаг 2: Провести процедуру исключения выбранного узла : добавить ветви, последовательно соединяющие все пары двухполюсников, Шаг 3: Пометить узел и все соединенные к нему двухполюсники как Шаг 4: Повторить шаги 1–3 для всех не исключенных узлов кроме выхода.

На каждом шаге исключения из схемы удаляется очередной узел, и вместо двухполюсников, соединенных с ним, появляются новые, которые являются последовательными или параллельными комбинациями предыдущих. Аналогичное преобразование использовано в программе RC–редукции TICER. Обычно гауссовское исключение подразумевает выборочное удаление узлов схемы и преобразование соединенных к удаленному узлу элементов в новые элементы на основе аппроксимации передаточной функции методом Тейлора. Метод, использованный в TICER, предусматривался для обработки массивов содержащих только R и C элементы. В отличие от TICER в рамках данной диссертационной работы этот метод применен к схеме СФ-блока на транзисторном уровне.

В итоге в отличие от моделей предложенных Р. Брайантом, графовая модель схемы, разработанная в рамках диссертационной работы, включает в себя полную информацию о структуре произвольного (в том числе не последовательнопараллельно структурированного) КМОП-блока на транзисторном уровне.

Следующая проблема состоит в определении задержек и их чувствительностей к вариациям параметров транзисторов. В третьей главе для решения этой проблемы предложен метод расчета чувствительностей временных параметров КМОП-схем к вариациям параметров транзисторов.

Информация о структуре схемы, которая содержится в ее графовой модели, не достаточна для характеризации заказной схемы с учетом вариаций параметров транзисторов. Для характеризации СФ-блока с учетом вариаций необходимо рассчитывать чувствительности временных параметров схемы к вариациям параметров транзисторов.

Наиболее распространенным методом быстрой оценки быстродействия КМОПвентилей является подход на основе элморовской модели задержки [W.C. Elmore, The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers]. В этом подходе задержка проводящего пути вентиля представлена в виде произведения его эквивалентного сопротивления и емкости выходного узла. Ниже приведен расчет на примере модели задержек переключения простейшего КМОПвентиля – инвертора.

При переключении выхода из “0” в “1”, проводящим является pМОП транзистор, при обратном переключении nМОП транзистор. Таким образом, задержки соответствующих переключений рассчитываются как Традиционное понимание элморовской задержки сводится к формулам расчета задержки на RC-дереве. Однако в случае заказного блока речь идет о сложной структуре, которая включает в себя SP-соединения и списки резолюций. Для реализации расчета задержек на такой структуре в рамках диссертационной работы решены следующие подзадачи:

- предложены эвристические формулы для задержек, сопротивлений и емкостей отдельных транзисторов с учетом вариаций порогового - предложены эвристические формулы расчета задержки для параллельных соединений транзисторов;

последовательных соединений транзисторов;

Для получения эвристик для отдельно взятых транзисторов проведен цикл экспериментов в программе HSPICE. Предложенный в диссертационной работе подход основан на использовании эквивалентной П-модели транзистора.

Для того чтобы получить формулы зависимости задержки от таких параметров как длина канала и ширина канала, пороговое напряжение МОП транзистора и т.д., в диссертационной работе предложен следующий способ расчета компонентов модели:

где L N и W N – соответственно длина и ширина канала транзистора, a K gN и K cN – коэффициенты, зависящие от технологии и определяемые посредством SPICEмоделирования.

Таким образом, RC-модель СФ-блока, построенная посредством замены транзисторов на их эквивалентные П-модели, содержит в себе информацию о временных характеристиках схемы и может быть использована для логиковременного анализа в целях характеризации. Пример такой модели для КМОП-схемы приведен на рис. 3.

Рис. 3. Схема цифрового вентиля (а) и ее эквивалентная RC-модель (б) Для того чтобы предложенная модель учитывала влияние вариаций параметров транзисторов, в диссертационной работе разработан метод расчета чувствительностей к вариациям ключевых параметров МОП-транзистора (например, порогового напряжения). Для расчета чувствительностей к пороговому напряжению производится перерасчет сопротивления (проводимости) транзистора на основе модели тока транзистора в режиме насыщения. В результате преобразований получены следующие зависимости:

где KgVTHP и KgVTHN – коэффициенты, зависящие от технологии.

Зависимость сопротивления транзистора от порогового напряжения приводит к изменению соответствующей задержки. Коэффициенты чувствительности рассчитаны путем дифференцирования выражений (2) по VTH :

С целью анализа точности полученных формульных соотношений проведен цикл численных экспериментов на библиотечных вентилях в среде схемотехнического моделирования HSPICE. Максимальная погрешность предложенной модели при оценке чувствительности задержки к VTH составила 3.5%, что позволяет судить о приемлемой точности расчетов предложенным методом. На основе численных экспериментов сделан вывод о возможности использования линейной аппроксимации зависимости вариации задержки ( D ) к вариациям параметров транзисторов: например, при небольших (порядка 15%, что соответствует статистическим данным) изменениях порогового напряжения ( VTH ), зависимости задержек КМОП-вентилей от изменения порогового напряжения практически линейны (рис. 4).

Рис. 4. Зависимость задержки КМОП-вентиля от порогового напряжения и ее линейная Так как основная задача заключается в разработке метода учета чувствительностей задержек к вариациям параметров транзисторов для СФ-блока, который может включать в себя произвольную комбинации последовательных и параллельных соединений транзисторов, в рамках численных экспериментов также исследованы зависимости задержек в случаях с параллельными и последовательными соединениями. Показано, что в случае параллельного соединения (например, вентиль И-НЕ при расчете задержки “0”“1”), вариация порогового напряжения данного транзистора влияет на задержку переключения выхода только по данному входу, а в случае последовательного соединения (вентиль ИЛИ-НЕ, задержка “0”“1”) задержка от данного входа до выхода зависит от вариаций пороговых напряжений всех транзисторов проводящего пути (рис. 5).

Рис. 5. Зависимости задержек от порогового напряжения для (а) – вентиля И-НЕ, (б) – На основе проведенных экспериментов рассчитаны коэффициенты зависимости каждой из задержек вентилей от пороговых напряжений каждого из “активных” транзисторов. Под “активным” следует понимать транзистор, который имеет влияние на данную задержку. На рис. 6 приведен пример расчета коэффициентов для вентиля 3ИЛИ-НЕ.

Рис. 6. Расчет коэффициентов чувствительности в HSPICE Рассчитанные коэффициенты могут быть использованы при характеризации СФблока. Для задержки вентиля в диссертационной работе предложена следующая модель зависимости задержки от изменений пороговых напряжений всех активных транзисторов:

где K1...n – коэффициенты чувствительности задержки к пороговых напряжений соответствующих транзисторов.

Следующей задачей является определение входных тестовых наборов и расчет задержки. Решению данной задачи посвящена четвертая глава, в которой разработан алгоритм поиска тестовых наборов для быстрой характеризации СФблоков СБИС.

Разработанная логико-временная модель основана на графовой модели, отображающей логику работы схемы, а также на информации о ее RC-структуре, необходимой для анализа задержек. В рамках диссертационной работы в целях сохранения информации о структуре схемы при ее преобразовании в эквивалентную П-модель предложено создать и использовать так называемый “список исключений”, в котором содержится информация о всех удаленных узлах и соединенных с ними элементах при последовательных шагах редукции схемы.

Как уже отмечалось, SP-DAG-граф описывает весь процесс преобразования исходной структуры схемы в П-модель C0-R-C1, которая эквивалентна исходной схеме с точки зрения элморовской задержки. Задержка П-модели определяется следующим выражением:

где C – выходная эквивалентная заземленная емкость; g = 1 R – эквивалентная внутренняя проводимость. Следовательно, для расчета задержки необходимо определить значения емкости и проводимости. Для этой цели используется информация, хранящаяся в SP-DAG-графе и в списке исключений.

Учет вариаций параметров транзисторов на данном этапе обеспечивается использованием формулы чувствительности для перерасчета проводимости.

Анализ задержки можно разделить на следующие основные этапы:

- Расчет логических состояний всех внутренних и выходных узлов для заданного входного набора до и после переключения;

- Расчет эквивалентных проводимостей pull-up и pull-down-цепей;

- Расчет эквивалентных нагрузочных емкостей для переключений из “1” в - Оценка задержек переключения из “1” в “0” (fall delay) и обратно (rise Несложно заметить, что определяемая емкость будет зависеть как от предыдущих, так и от следующих значений узлов схемы. Если узел не переключается, соответствующая емкость не влияет на задержку. Также очевидно, что проводимость будет зависеть только от следующего значения узла.

Расчет логических состояний всех узлов схемы производится с помощью метода анализа переключательной логики схемы. При оценке проводимостей в данной статье делаются предположения, что:

- проводимость линейного сопротивления – постоянная величина, обратная значению резистора из исходного описания схемы;

- проводимость транзистора равна нулю, если он закрыт, и отлична от нуля, если он открыт. Режим транзистора определяется логическим значением на его затворе. Проводимость рассчитывается исходя из предположения, что она прямо пропорциональна ширине его канала.

Оценка емкостей проводится только для тех узлов, которые переключаются при заданном переключении на входе. При этом, для перехода к П-модели, емкость каждого из внутренних узлов преобразуется в две боковые емкости.

Для того чтобы не занижать реальную оценку задержки, необходимо каждое неопределенное состояние узла схемы рассматривать как потенциальное переключение. Это заставляет внести изменения в процедуры анализа логики и оценки емкостей и проводимостей. Так, общая проводимость любого из узлов схемы, за невозможностью ее точной оценки, заменяется двумя крайними значениями – минимальным и максимальным. Первое используется для нижней оценки проводимости ( min(g ) ), второе – для верхней оценки емкости ( max(C ) ). Возможная максимальная задержка определяется как max(D ) = max(C ) min (g ).

Реализация метода ветвей и границ заключается в следующем:

Задаются входные наборы до и после переключения, при этом известны значения лишь части входных сигналов до и после переключения, а остальные входы неизвестны. Ниже приведены основные шаги алгоритма:

Шаг 1: Установить наихудшую задержку равной нулю.

Шаг 2: Выбрать из списка входов вход с неопределенным состоянием. Установить начальное и конечное состояния входа последовательно равными нулю и единице. Для каждого из двух вариантов значений выполнить шаг 3.

Шаг 3: Если найденный входной набор удовлетворяет условиям переключения и приводит к большей задержке, чем при найденном ранее наборе, то задержка, найденная для данного набора, становится наихудшей.

Шаг 4: Если сохранились неопределенные входы, перейти к следующему входу с неопределенным состоянием, для которого повторить шаги 2-3.

Пятая глава посвящена практической реализации и апробации предложенных методов с помощью численных экспериментов. Блок-схема предлагаемого алгоритма поиска критических входных наборов для характеризации сложнофункционального блока представлена на рис. 7.

Общая блок-схема предложенного маршрута характеризации СФ-блоков КМОП СБИС с учетом вариаций параметров транзисторов представлена на рис.8.

Рис. 8. Предложенный маршрут характеризации СФ-блоков КМОП СБИС Главное отличие предложенного подхода от существующих заключается в интеграции методов логико-временного и схемотехнического анализа в рамках одного маршрута, что позволяет достичь существенного ускорения при характеризации СФ-блока.

Разработанный маршрут был проинтегрирован в среде коммерческой САПР и опробован на реальных схемах, разрабатываемых с использованием новейших технологий с проектной нормой 65 и 45 нм. Проведено сравнение результатов предложенного подхода с результатами точного электрического моделирования.

Полученные результаты позволили сделать вывод о высокой степени эффективности предлагаемого подхода: достигнут кардинальный выигрыш (в 75-100 раз) во времени характеризации СФ-блоков за счет ускоренного процесса поиска критических тестовых входных наборов при сохранении точности при анализе быстродействия СФ-блока для найденных худших наборов (таблица 1). В таблице 1 приведены результаты сравнения на вентиле И-ИЛИ-НЕ (AOI333) и нескольких СФ-блоках (арифметико-логические устройства и умножитель-аккумулятор).

Таблица 1. Сравнение традиционного и предложенного метода характеризации СФ-блоков 32X24bitMAC (59/41121)

ОСНОВНЫЕ ВЫВОДЫ И РЕЗУЛЬТАТЫ РАБОТЫ

1. Разработана логико-временная модель сложно-функционального блока СБИС, сочетающая в себе логическую функцию и структуру блока на транзисторном уровне, которая позволяет ускорить процесс характеризации за счет предварительного анализа на логико-временном уровне с последующим переходом к схемотехническому моделированию для ограниченного подмножества тестовых наборов.

2. Предложены аналитические соотношения для расчета чувствительностей задержек к вариациям параметров транзисторов. Проведен цикл численных экспериментов, подтвердивших достоверность предложенных эвристических оценок на логико-временном уровне с точки зрения точности при расчете чувствительностей.

3. Разработан алгоритм поиска критических входных наборов для характеризации сложно-функциональных блоков цифровых СБИС на основе метода ветвей и границ. В отличие от известных подходов, предложенный алгоритм обеспечивает интервальный подход к формированию эквивалентной П–модели блока при оценке быстродействия СБИС на логико-временном уровне абстракции, тем самым позволяя достичь кардинального уменьшения времени характеризации схемы.

4. Разработан маршрут характеризации сложно-функциональных блоков КМОП СБИС с учетом вариаций параметров транзисторов, который в отличие от существующих маршрутов характеризации включает этапы моделирования схемы как на схемотехническом, так и на логико-временном уровне в целях достижения ускорения процесса характеризации.

5. По теме диссертации опубликовано двенадцать печатных работ, в том числе три работы опубликованы в журналах, рекомендованных ВАК Минобрнауки РФ. Результаты диссертационной работы были представлены в виде девяти докладов на всероссийских и международных конференциях;

6. Разработанные алгоритмы и методы внедрены на предприятиях ОАО «Ангстрем-М» и ИППМ РАН, а также включены в учебный процесс МИЭТ.

СПИСОК РАБОТ, ОПУБЛИКОВАННЫХ ПО ТЕМЕ ДИССЕРТАЦИИ

Основные результаты диссертации опубликованы в следующих работах:

1. Каграманян Э.Р., Соловьев Р.А. Методы статистического анализа для реального маршрута проектирования // 14-ая Всероссийская межвузовская научнотехническая конференция студентов и аспирантов “Микроэлектроника и информатика”: тезисы докладов. – М.: МИЭТ, 2007. – С. 69.

2. Гудкова О.Н., Каграманян Э.Р. О методологии обучения проектированию систем на кристалле // Всероссийская межвузовская научно-практическая конференция “Актуальные проблемы информатизации. Развитие информационной инфраструктуры, технологий и систем”: тезисы докладов. – М.: МИЭТ, 2007. – С.

3. Каграманян Э.Р. О методах статистического временного анализа КМОП-схем // Всероссийская межвузовская научно-практическая конференция “Актуальные проблемы информатизации. Развитие информационной инфраструктуры, технологий и систем”: тезисы докладов. – М.: МИЭТ, 2007. – С. 104.

4. Каграманян Э.Р. О влиянии статистических вариаций различной природы на работу КМОП-схем // Проектирование электронной компонентной базы и систем на кристалле. Сборник трудов под редакцией М.Г. Путри. – М.: МИЭТ, 2007. –С.

61-65.

5. Каграманян Э.Р. Модель временных параметров стандартных цифровых элементов с учетом NBTI-эффекта // 13-ая Международная открытая научная конференция “Современные проблемы информатизации в моделировании и социальных технологиях”: сборник научных трудов. – Воронеж: Научная книга, 2008. – С. 222.

6. Каграманян Э.Р. Анализ и разработка методов характеризации токовых моделей стандартных цифровых ячеек для статического временного анализа // 14-ая ежегодная международная научно-техническая конференция студентов и аспирантов “Радиоэлектроника, электротехника и энергетика”: тезисы докладов. – М.: МЭИ, 2008. – С. 321-322.

7. Брагин К.Р., Гаврилов С.В., Каграманян Э.Р. Методы логико-временного анализа для характеризации заказных блоков цифровых КМОП-схем // III Всероссийская научно-техническая конференция “Проблемы разработки перспективных микроэлектронных систем – 2008”: сб. научн. тр. / под общей ред. А.Л.

Стемпковского. – М.: ИППМ РАН, 2008. – С. 92-97.

8. Гаврилов С.В., Егоров Ю.Б., Каграманян Э.Р. Методы характеризации библиотечных элементов с учетом вариаций пороговых напряжений транзисторов // III Всероссийская научно-техническая конференция “Проблемы разработки перспективных микроэлектронных систем – 2008”: сб. научн. тр. / под общей ред.

А.Л. Стемпковского. – М.: ИППМ РАН, 2008. – С. 102-107.

9. Стемпковский А.Л., Гаврилов С.В., Каграманян Э.Р. Методы логико-временного анализа заказных блоков СБИС // Известия ВУЗов. Электроника. – 2008. - № 5. –С.

41-50.

10. Гаврилов С.В., Гудкова О.Н., Каграманян Э.Р. Методы логико-временного анализа цифровых СБИС с учетом эффектов деградации транзисторов // Известия ВУЗов. Электроника. – 2008. - № 6. –С. 30-40.

11. Каграманян Э.Р., Гудкова О.Н. Разработка модели деградации временных параметров цифровых элементов для анализа надежности нанометровых СБИС // 1-ая окружная научно-техническая конференция молодых ученых и специалистов “Радиоэлектроника, электротехника и энергетика”: тезисы докладов. – М., Зеленоград, 2009. – С. 13.

12. Гаврилов С.В., Ходош Л.С., Каграманян Э.Р. Тенденции развития моделей библиотечных элементов для статического временного анализа цифровых СБИС // Информационные технологии. – 2009. - № 3. –С. 20-24.

Подписано в печать: 24.07.2009.

Заказ № 79. Тираж 100 экз. Уч.-изд. л. 1,2. Формат 60x84 1/16.

Отпечатано в типографии ИПК МИЭТ.

124498, Москва, Зеленоград, проезд 4806, д. 5, МИЭТ.





Похожие работы:

«ЛУХИНА Евгения Валерьевна СОЦИАЛЬНО-ОРИЕНТИРОВАННЫЙ ДИСКУРС В ЛИНГВОПРАГМАТИЧЕСКОМ ОСВЕЩЕНИИ (НА МАТЕРИАЛЕ СОВРЕМЕННОГО АМЕРИКАНСКОГО ВАРИАНТА АНГЛИЙСКОГО ЯЗЫКА) Специальность 10.02.04 – германские языки АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата филологических наук Москва – 2011 Работа выполнена на кафедре английской филологии Тульского государственного педагогического университета имени Л.Н. Толстого доктор филологических наук, профессор Научный...»

«Смолин Андрей Александрович ПОВЫШЕНИЕ КАЧЕСТВА СМЕСЕОБРАЗОВАНИЯ ПРИ ПУСКЕ ДИЗЕЛЕЙ В УСЛОВИЯХ НИЗКИХ ТЕМПЕРАТУР С ПОМОЩЬЮ СВЧ КОЛЕБАНИЙ Специальность 05.04.02 – Тепловые двигатели Автореферат диссертации на соискание ученой степени кандидата технических наук Челябинск – 2013 2 Работа выполнена в Омском автобронетанковом инженерном институте (филиале Военной академии материально-технического обеспечения Министерства обороны РФ). Научный руководитель – кандидат...»

«Работа выполнена в ГОУ ВПО Алтайский государственный технический университет им. И.И. Ползунова на кафедре Автоматика и выУДК 681.518.54(043.3) числительные системы. Научный руководитель : доктор технических наук, профессор Якунин Алексей Григорьевич Официальные оппоненты : доктор технических наук, профессор Тушев Александр Александрович Тищенко Андрей Иванович кандидат технических наук, профессор Шатохин Александр Семенович ПРИМЕНЕНИЕ АНТИГРАДИЕНТНЫХ МЕТОДОВ НАСТРОЙКИ...»

«Дорошина Елена Александровна Клинико-эпидемиологические особенности и вопросы терапии норовирусной инфекции у детей 14.00.10 – Инфекционные болезни Автореферат диссертации на соискание ученой степени кандидата медицинских наук Москва – 2009 Работа выполнена в ФГУН Центральный научно-исследовательский институт эпидемиологии Федеральной службы по надзору в сфере защиты прав потребителей и благополучия человека Научный руководитель : доктор медицинских наук, профессор ГОРЕЛОВ...»

«ЕМЕЛЬЯНОВ Константин Борисович МАТЕМАТИЧЕСКОЕ МОДЕЛИРОВАНИЕ ЭЛЕКТРОХИМИЧЕСКОГО ИНТЕРКАЛИРОВАНИЯ ГРАФИТА В КИСЛОТАХ БРЕНСТЕДА И ПОСЛЕДУЮЩЕГО ВСПЕНИВАНИЯ Специальность 02.00.04 – физическая химия 02.00.17 – математическая и квантовая химия Автореферат диссертации на соискание ученой степени кандидата физико-математических наук МОСКВА – 2010 Работа выполнена на кафедре химической технологии и новых материалов Химического факультета Московского Государственного Университета имени...»

«ЛИПИН КОНСТАНТИН ВЛАДИМИРОВИЧ ВЗАИМОДЕЙСТВИЕ 4-ОКСОАЛКАН-1,1,2,2-ТЕТРАКАРБОНИТРИЛОВ С ГАЛОГЕНОВОДОРОДНЫМИ КИСЛОТАМИ 02. 00. 03 - Органическая химия АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата химических наук Казань – 2009 2 Работа выполнена на кафедре органической химии и химической технологии органических веществ ФГОУ ВПО Чувашский государственный университет им. И.Н.Ульянова Научный руководитель : доктор химических наук, профессор Насакин Олег Евгеньевич...»

«КУЧЕРЯВЫЙ ЛЕОНИД МИХАЙЛОВИЧ РАЗРАБОТКА ТЕХНОЛОГИИ ПРОИЗВОДСТВА ЯБЛОЧНЫХ ИГРИСТЫХ ВИН НА ОСНОВЕ НАПРАВЛЕННОГО РЕГУЛИРОВАНИЯ И ИНТЕНСИФИКАЦИИ ПРОЦЕССА ВТОРИЧНОГО БРОЖЕНИЯ Специальность 05.18.07 – Биотехнология пищевых продуктов и биологически активных веществ (алкогольная и безалкогольная промышленность) АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук Москва – 2010 1 Работа выполнена в Государственном научном учреждении Всероссийский...»

«Великанов Петр Геннадьевич РАСЧЕТ ОРТОТРОПНЫХ ПЛАСТИН И ОБОЛОЧЕК МЕТОДОМ ГРАНИЧНЫХ ЭЛЕМЕНТОВ Специальность 01.02.04 – Механика деформируемого твердого тела АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата физико-математических наук Казань 2008 Работа выполнена на кафедре теоретической механики Казанского государственного университета им. В.И. Ульянова-Ленина. Научный руководитель : доктор физико-математических наук, профессор Артюхин Юрий Павлович Официальные...»

«УДК 519.1, 519.7 Лобанов Михаил Сергеевич О соотношениях между алгебраической иммунностью и нелинейностью булевых функций 01.01.09 дискретная математика и математическая кибернетика АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата физико-математических наук Москва 2009 Работа выполнена на кафедре дискретной математики Механико-математического факультета Московского...»

«Данилов Давид Борисович ПРОИЗВОДСТВО ПО ДЕЛАМ ОБ ОТВЕТСТВЕННОСТИ ЛИЦ ЗА НЕЗАКОННОЕ ИСПОЛЬЗОВАНИЕ ТОВАРНОГО ЗНАКА ПО ИНИЦИАТИВЕ ОРГАНОВ ВНУТРЕННИХ ДЕЛ 12.00.15 - гражданский процесс, арбитражный процесс АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата юридических наук Саратов - 2012 2 Работа выполнена в Федеральном государственном казенном образовательном учреждении высшего профессионального образования СанктПетербургский университет Министерства внутренних дел...»

«Мадюкова Светлана Александровна СОЦИОКУЛЬТУРНЫЙ НЕОТРАДИЦИОНАЛИЗМ В ОБРЯДАХ ЖИЗНЕННОГО ЦИКЛА (на примере женщин тюркских этносов Южной Сибири) Специальность 09.00.11 – Социальная философия АВТОРЕФЕРАТ диссертации на соискание учёной степени кандидата философских наук Новосибирск 2008 Диссертация выполнена в секторе этносоциальных исследований Института философии и права Сибирского отделения Российской академии наук Научный руководитель : доктор философских наук, профессор...»

«Волоснов Роман Юрьевич СЕЛЬСКОЕ ДЕРЕВЯННОЕ КУЛЬТОВОЕ ЗОДЧЕСТВО АЛТАЯ В КОНЦЕ XIX – ПЕРВОЙ ТРЕТИ XX ВЕКОВ Специальность 17.00.04 – изобразительное искусство, декоративно-прикладное искусство и архитектура АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата искусствоведения Барнаул — 2009 1 Работа выполнена на кафедре истории отечественного и зарубежного искусства ГОУ ВПО Алтайский государственный университет Научный руководитель : доктор искусствоведения, профессор...»

«Пережогин Андрей Сергеевич Моделирование зон геоакустической эмиссии в условиях деформационных возмущений Специальность 05.13.18 – Математическое моделирование, численные методы и комплексы программ АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата физико-математических наук п. Паратунка, Елизовский район, Камчатский край 2009 г. Работа выполнена в...»

«ПЕНЬКОВ Владимир Федорович ПОЛИТИЧЕСКАЯ КУЛЬТУРА КАК ФАКТОР РАЗВИТИЯ ПОЛИТИЧЕСКОГО ПРОЦЕССА В СОВРЕМЕННОЙ РОССИИ Специальность 23.00.02 - политические институты, этнополитическая конфликтология, национальные и политические процессы и технологии АВТОРЕФЕРАТ диссертации на соискание ученой степени доктора политических наук Москва - Диссертация выполнена на кафедре политологии и политического управления Российской академии государственной службы при Президенте Российской...»

«МОЛЯКОВ АНДРЕЙ ЮРЬЕВИЧ ГОСУДАРСТВЕННАЯ КОРПОРАЦИЯ КАК СУБЪЕКТ РЫНОЧНОЙ ЭКОНОМИКИ специальность 08.00.01 (01) – экономическая теория Автореферат на соискание ученой степени кандидата экономических наук Москва - 2011 г. 1 Работа выполнена на кафедре экономической теории факультета государственного управления Московского государственного университета имени М.В. Ломоносова Научный руководитель : доктор экономических наук Н.П. Кононкова Официальные оппоненты : доктор экономических...»

«ШАБУНИН Вадим Владимирович МИССИОНЕРСКАЯ ДЕЯТЕЛЬНОСТЬ РУССКОЙ ПРАВОСЛАВНОЙ ЦЕРКВИ В КОНЦЕ XIX – НАЧАЛЕ XX ВВ. Специальность 07.00.02 – Отечественная история АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата исторических наук Москва – 2013 2 Диссертация выполнена на кафедре истории и политологии ФГБОУ ВПО Государственный университет управления Научный руководитель : доктор исторических наук, профессор ЖУКОВА Людмила Александровна Официальные оппоненты : доктор...»

«ВАЛГИНА ЛЮБОВЬ АЛЕКСАНДРОВНА РАЗРАБОТКА КОМПЛЕКСНОЙ ТОВАРОВЕДНОЙ ОЦЕНКИ И ИДЕНТИФИКАЦИИ СТОЛОВЫХ ПОЛУСЛАДКИХ ВИН Специальность 05.18.15 – Технология и товароведение пищевых продуктов и функционального и специализированного назначения и общественного питания АВТОРЕФЕРАТ Диссертация на соискание ученой степени кандидата технических наук Москва 2011 3 Работа выполнена на кафедре технологии бродильных производств и виноделия Федерального Государственного Бюджетного...»

«УДК 523.44 Нароенков Сергей Александрович Исследование комплексов малых тел Солнечной системы, сближающихся с планетами Земной группы 01.03.01 – Астрометрия и небесная механика АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата физико-математических наук Санкт-Петербург – 2010 Работа выполнена в Учреждении Российской академии наук Институте астрономии РАН Научный руководитель...»

«Мальцева Татьяна Владимировна МАТЕМАТИЧЕСКОЕ МОДЕЛИРОВАНИЕ НАПРЯЖЕННОДЕФОРМИРОВАННОГО СОСТОЯНИЯ ВОДОНАСЫЩЕННОГО ГРУНТА С ПОЗИЦИЙ ТЕОРИИ ВЯЗКОУПРУГОСТИ Специальность 05.13.18-Математическое моделирование, численные методы и комплексы программ АВТОРЕФЕРАТ диссертации на соискание ученой степени доктора физико-математических наук Казань - 2006 Работа выполнена на кафедре математики и информатики Государственного образовательного учреждения высшего профессионального образования...»

«МАКАРОВА СВЕТЛАНА АЛЬБЕРТОВНА Полимерные микросферы в качестве твердых стабилизаторов эмульсионных систем Специальности: 02.00.06 – высокомолекулярные соединения 02.00.11 – коллоидная химия и физико-химическая механика АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата химических наук МОСКВА 2009 Работа выполнена в Московской Государственной Академии Тонкой Химической Технологии им. М.В.Ломоносова на кафедре Химия и технология высокомолекулярных соединений им....»








 
2014 www.av.disus.ru - «Бесплатная электронная библиотека - Авторефераты, Диссертации, Монографии, Программы»

Материалы этого сайта размещены для ознакомления, все права принадлежат их авторам.
Если Вы не согласны с тем, что Ваш материал размещён на этом сайте, пожалуйста, напишите нам, мы в течении 1-2 рабочих дней удалим его.