WWW.DISS.SELUK.RU

БЕСПЛАТНАЯ ЭЛЕКТРОННАЯ БИБЛИОТЕКА
(Авторефераты, диссертации, методички, учебные программы, монографии)

 

УДК 004.318

На правах рукописи

Бйда Юрий Владимирович

а

Методы разработки и тестирования

аппаратных потактовых моделей

микропроцессоров на программируемых

логических интегральных схемах

05.13.05 — Элементы и устройства вычислительной техники и систем управления

АВТОРЕФЕРАТ

диссертации на соискание учёной степени кандидата технических наук

Москва 2013

Работа выполнена на кафедре микропроцессорных технологий Московского физико-технического института (государственного университета).

Научный руководитель: Бутузов Александр Валерьевич кандидат технических наук, руководитель подразделения по разработке микроархитектуры ЗАО «Интел А/О»

Официальные оппоненты: Топорков Виктор Васильевич доктор технических наук, профессор, заведующий кафедрой вычислительной техники НИУ «Московский энергетический институт»

Груздов Фёдор Анатольевич кандидат технических наук, начальник отдела разработки высокопроизводительных микропроцессоров ЗАО «МЦСТ»

Ведущая организация: ОАО «Институт точной механики и вычислительной техники им. С. А. Лебедева»

Защита состоится 27 ноября 2013 года в 15:00 на заседании диссертационного совета Д 409.009.01 при ОАО «Институт электронных управляющих машин им. И. С. Брука» по адресу: 119334, г. Москва, ул. Вавилова, д. 24.

С диссертацией можно ознакомиться в библиотеке ОАО «Институт электрон­ ных управляющих машин им. И. С. Брука».

Автореферат разослан 23 октября 2013 года.

Учёный секретарь диссертационного совета кандидат технических наук, профессор Красовский В. Е.

Общая характеристика работы

Актуальность темы исследования. Разработка микропроцессора как сложной системы включает в себя принятие большого количества проектных решений, существенно опирающихся на результаты имитационного модели­ рования, с помощью которого анализу подвергаются такие параметры, как производительность, потребляемая мощность и др.

Для измерения производительности проектируемого микропроцессора традиционно используются программные симуляторы, которые при достаточ­ ной точности обладают очень низкой скоростью, моделируя порядка одной тысячи команд в секунду реального времени.

При такой скорости моделирование одной секунды работы разрабатывае­ мого микропроцессора потребует нескольких дней работы симулятора, что делает невозможным исследование производительности при запуске длинных тестов или при работе реальных операционных систем с приложениями.

Таким образом, существует техническое противоречие между низкой скоростью программных потактовых симуляторов, используемых на микро­ архитектурном этапе маршрута проектирования, и необходимой на данном этапе точностью.

Результаты исследований, опубликованных в современной литературе, свидетельствуют о том, что существенного (на 2-3 порядка) повышения ско­ рости моделирования без потери точности можно достичь реализацией по­ тактового симулятора на программируемых логических интегральных схемах (ПЛИС).

Поскольку конфигурация вентильной матрицы ПЛИС, в которой реали­ зован симулятор, в отличие от прототипа, не должна повторять в точности конечную электрическую схему микропроцессора, а только моделировать её поведение и временные характеристики, то разработчик модели получает ряд преимуществ, недоступных разработчику прототипа. Например, симу­ ляция одного такта моделируемого микропроцессора в этом случае может выполняться за несколько тактов ПЛИС.

Тем не менее, использование ПЛИС затруднено низким уровнем абстрак­ ции традиционных языков описания аппаратуры, гораздо более длительным циклом разработки по сравнению с разработкой программного обеспечения, следовательно, наличие эффективной методологии разработки является клю­ чевым фактором успеха, что говорит об актуальности исследования.

Объект исследования — микропроцессоры.

Предмет исследования — методы разработки и тестирования потакто­ вых моделей микропроцессоров.

Цель исследования — построение эффективных методов разработки и тестирования аппаратных потактовых моделей микропроцессоров на ПЛИС, позволяющих добиться без потери точности существенного повышения скоро­ сти моделирования при низкой сложности разработки.

Для достижения цели исследования решаются следующие задачи:

— исследование существующих способов повышения скорости потактовых моделей микропроцессоров и их классификация;

— исследование особенностей применения ПЛИС для потактового мо­ делирования микропроцессоров, позволяющих снизить трудоёмкость по сравнению с разработкой прототипа;

— разработка эффективного метода разработки аппаратных потактовых симуляторов микропроцессоров на ПЛИС;

— разработка метода и системы автоматизированного тестирования ап­ паратных потактовых симуляторов микропроцессоров на ПЛИС;

— апробация разработанных методов и средств для разработки потакто­ вого симулятора современного многоядерного микропроцессора для подтверждения результатов исследования.

Научная новизна исследования определена решением поставленных задач и заключается в следующем:



— проведён анализ и предложена классификация способов повышения скорости потактовых моделей микропроцессоров, включая: сэмплинг, абстрагирование, распараллеливание и применение ПЛИС;

— выделены особенности применения ПЛИС для потактового моделиро­ вания микропроцессоров, позволяющие снизить трудоёмкость по срав­ нению с разработкой прототипа, за счёт того, что конфигурация вен­ тильной матрицы не повторяет конечную электрическую схему микро­ — разработан восходящий метод модульной разработки аппаратных по­ тактовых симуляторов микропроцессоров на ПЛИС, отличительной особенностью которого является использование существующего про­ граммного потактового симулятора в качестве эталона, что позволяет существенно снизить трудоёмкость разработки;

— разработан метод тестирования аппаратных потактовых симуляторов микропроцессоров на ПЛИС, отличающийся тем, что тестирование и отладка модели возможны, начиная с самого нижнего уровня иерар­ — разработана система автоматизированного тестирования аппаратных потактовых симуляторов микропроцессоров на ПЛИС, включающая средства автоматической генерации служебного кода.

Методы исследования. Задача и способы повышения скорости потак­ товых симуляторов микропроцессора рассматривались с позиций системного анализа и синтеза. При создании методов разработки и тестирования потакто­ вых симуляторов на ПЛИС были применены теория графов и теория множеств.

Для разработки автоматизированной системы тестирования использовались методы объектно-ориентированного программирования.

Практическая значимость исследования заключается в существен­ ном повышении скорости потактовых моделей микропроцессоров без потери точности при низкой сложности разработки.

Разработанные методы и средства были внедрены в экспериментальный комплекс предварительного проектирования микропроцессоров на сверхболь­ ших интегральных схемах ЗАО «Интел А/О».

Теоретические исследования, связанные с разработкой моделей микро­ процессоров, легли в основу разделов лекций курса «Основы программного моделирования ЭВМ» кафедры микропроцессорных технологий МФТИ (ГУ).

Апробация результатов работы. Результаты работы докладывались на всероссийских и международных научно-технических конференциях:

— 53-й Научной конференции МФТИ «Современные проблемы фунда­ ментальных и прикладных наук», Москва, 2010;

— Международной молодёжной научной конференции «XXXVII Гагарин­ ские чтения», Москва, 2011;

— XI Международной научно-практической конференции «Фундамен­ тальные и прикладные исследования, разработка и применение высо­ ких технологий в промышленности», Санкт-Петербург, 2011;

— Международной молодёжной научной конференции «XXXVIII Гага­ ринские чтения», Москва, 2012;

— XIII Международной научно-практической конференции «Современ­ ные проблемы гуманитарных и естественных наук», Москва, 2012;

— Всероссийском молодёжном конкурсе научных работ по современным проблемам фундаментальных и прикладных наук, Москва, 2013.

Публикация результатов работы. Основные результаты работы от­ ражены в 10 публикациях, в том числе: три статьи опубликованы в изданиях, входящих в Перечень ВАК, и две статьи — в зарубежном издании.

Структура и объём работы. Диссертация состоит из введения, пяти глав, заключения и списка литературы, насчитывающего 145 наименований.

Работа изложена на 131 страницах, содержит 31 рисунок и 12 таблиц.

Содержание работы Во введении обоснована актуальность темы диссертационной работы, указаны цель и задачи исследования, научная новизна и практическая значи­ мость исследования, описана структура диссертации.

В первой главе рассматриваются задача моделирования микропроцес­ соров, классификация симуляторов, рассматривается проблема повышения скорости программных потактовых симуляторов и анализируются существую­ щие способы её решения.

Симулятор (англ. simulator ) — это некоторая реализация конкретной ими­ тационной модели микропроцессора. К основным характеристикам симулято­ ров микропроцессоров в настоящей работе относятся:

1) скорость — количество моделируемых тактов в секунду реального вре­ мени (Гц) или команд в секунду реального времени (к/с);

2) точность — степень соответствия симулятора объекту моделирования;

3) сложность — измеряется в количестве строк исходного кода или коли­ честве человеко-часов, затраченных на разработку симулятора.

На разных этапах маршрута проектирования микропроцессоров применя­ ются различные виды симуляторов, обладающие различной точностью и, как следствие, скоростью (см. рис. 1). Функциональный симулятор (англ. functional simulator ) применяется на этапе разработки архитектуры системы команд (англ. instruction set architecture) микропроцессоров для проверки корректно­ сти его работы и имеет высокую скорость моделирования (точка ).

На этапе разработки микроархитектуры требуется точное определение параметров узлов микропроцессоров, влияющих на его производительность и энергопотребление, для чего применяется потактовый симулятор (англ. cycleaccurate simulator ). В таком симуляторе моделируемое состояние расширяется микроархитектурными атрибутами, что приводит к существенному уменьше­ нию скорости моделирования (точка ).

Скорость, к/с Архитектурный Микроархитектурный Логический Рис. 1. Скорости различных программных ( ) и аппаратных ( ) моделей, применяющихся на различных этапах маршрута проектирования микропроцессора Непосредственно перед этапом производства микропроцессоров требуется логически отлаженное описание аппаратуры на уровне регистровых передач (англ. register transfer level, RTL). Для отладки этого описания используют­ ся RTL-симуляторы (точка ) и прототипы на ПЛИС, которые позволяют повысить скорость за счёт применения аппаратуры (точка ).

Однако на микроархитектурном этапе RTL-описание, необходимое для применения прототипов, отсутствует. Таким образом, существует техническое противоречие между низкой скоростью программных потактовых симуляторов, используемых на данном этапе, и необходимой точностью (точка ).

Существующие способы повышения скорости потактовых симуляторов можно разделить на четыре основные группы. В табл. 1 приведены примеры реализаций способов из каждой группы с их основными характеристиками.

Сэмплинг (от англ. sample — выборка) объединяет способы ускорения, заключающиеся в модификации тестов, чтобы модель требовалось запускать только на репрезентативной части всей тестовой последовательности. Ошибки, связанные с длиной тестового участка, точностью его выбора и точностью инициализации состояния симулятора, приводят к ошибкам в результатах.

Абстрагированием называются подходы, направленные на модификацию модели и уменьшение степени её детализации. Примером такой модификации может служить переход от фиксации каждого такта к фиксации определённых событий (например, попадание или промах при обращении в кэш-память).

Способы увеличения скорости программных потактовых симуляторов Реализация Архитектуры Конвейер Ускорение Вносимая ошибка Сложность Примечание. Ускорение и вносимая ошибка приведены относительно используемых авторами эталонов.

Распараллеливание заключается в параллельном исполнении кода си­ мулятора, например, на вычислительном кластере. Потактовые симуляторы обладают высокой степенью параллелизма, однако распараллеливание не даёт желаемого ускорения из-за существенных накладных расходов на барьерную синхронизацию, которую нужно производить каждый моделируемый такт.

Если производить синхронизацию не каждый модельный такт, а с некоторым интервалом (англ. slack ), то возникает потеря точности.

Таким образом, первые три группы способов ускорения потактовых ими­ тационных моделей, несмотря на низкую сложность реализации, решают проблему низкой скорости за счёт уменьшения точности моделирования.

Наконец, четвёртая группа решений предполагает применение ПЛИС для ускорения моделирования. Использование ПЛИС позволяет эффективно за­ действовать массовый параллелизм модели и решить проблему синхронизации задач путём непосредственной передачи данных между аппаратными блоками, исполняющими независимые задачи, получая ускорение на 2-3 порядка без потери точности.

Несмотря на то, что использование ПЛИС может существенно увеличить скорость моделирования без потери точности, их применение затруднено низким уровнем абстракции традиционных языков описания аппаратуры, гораздо более длительным циклом разработки и ограниченной логической ёмкостью применяемых ПЛИС.

Следует учесть, что увеличение времени разработки симулятора может свести на нет преимущества модели на ПЛИС, связанные с сокращением вре­ мени проведения экспериментов, следовательно, использование эффективных методов разработки и тестирования является ключевым фактором успеха.

Во второй главе рассматриваются особенности применения ПЛИС как симулятора, позволяющие снизить сложность по сравнению с разработкой прототипа, вводится модельное представление, приводятся сведения о приме­ няемом в работе высокоуровневом языке описания аппаратуры Bluespec.

При применении ПЛИС для моделирования микропроцессоров конфигу­ рация вентильной матрицы не повторяет в точности конечную электрическую схему микропроцессора, а только моделирует её поведение и временные ха­ рактеристики, за счёт чего существенно снижается сложность модели по срав­ нению с прототипом.

В частности, один такт моделируемого микропроцессора выполняется за несколько тактов ПЛИС, и характеристика FMR (англ. FPGA-cycles-tomodel-cycles ratio) показывает среднее количеству тактов ПЛИС, затрачивае­ мых на моделирование одного такта микропроцессора:

откуда получаем Дальнейшее снижение сложности модели в данной работе происходит за счёт её разделения на отдельные модули, обычно соответствующие узлам микропроцессоров. Множество состояний модели при этом декомпозируется на состояния отдельных модулей:

Модуль не опирается на такт синхросигнала моделируемого микропро­ цессора, и вычисления внутри него могут быть рассмотрены как бесконечно быстрые. Отсчёт тактов модели осуществляется введением задержки на пере­ дачу сообщений между модулями в так называемых портах.

Порт представляет собой очередь сообщений определённого формата, которые передаются между модулями. Параметрами порта являются:

— задержка (англ. latency) — количество модельных тактов, требуемых на передачу сообщения через порт;

— пропускная способность (англ. bandwidth) — максимальное количество сообщений, передаваемых через порт за один такт модели.

Пользуясь терминами теории графов, модель в данном представлении, именуемом кратко МП-представлением (от слов «модуль» и «порт»), образует ориентированный взвешенный мультиграф где вершинами являются модули модели, рёбрами — порты, весами рёбер N0 — задержки соответствующих портов, а весами N — пропускные способности (см. рис. 2).

Рис. 2. МП-представление простейшего конвейера микропроцессора Важнейшим свойством МП-представления модели является то, что лю­ бые взаимодействия между модулями происходят только через порты, что позволяет рассматривать модули независимо друг от друга.

Традиционно разработка устройств на ПЛИС осуществляется с при­ менением языков описания аппаратуры низкого уровня, таких как VHDL, Verilog и SystemVerilog. Эти языки дают разработчикам полный контроль над разрабатываемой аппаратурой, но их низкоуровневый код сложен и негибок.

Поскольку при разработке моделей микропроцессоров полный контроль получаемого описания не требуется, то в настоящей работе предложено ис­ пользовать полностью синтезируемый объектно-ориентированный высокоуров­ невый язык описания аппаратуры Bluespec.

Высокий уровень абстракции сокращает время разработки, строгая про­ верка типов ведёт к снижению количества ошибок, а поддержка полиморфиз­ ма как мощного языкового средства позволяет создавать шаблонные модули, увеличивая повторное использование кода.

В третьей главе предлагается и обосновывается восходящий метод разработки аппаратных потактовых симуляторов микропроцессоров на ПЛИС.

В тексте диссертации основные этапы разработки по предложенному методу подробно описаны на примере модуля кэша третьего уровня.

Поскольку в МП-представлении взаимодействие модулей осуществляется только посредством передачи сообщений через порты, то разработка и тестиро­ вание каждого модуля симулятора может выполняться независимо от других модулей, благодаря чему существенно сокращается сложность разработки.

Таким образом, разработка аппаратного симулятора является в данном методе восходящей: модули проектируются, разрабатываются, тестируются и отлаживаются, начиная с самого нижнего уровня иерархии модулей модели, в отличие от нисходящих способов, когда тестирование и отладка возможны только после создания полной модели.

Разработанный метод был реализован в работе на примере ускорения существующего программного симулятора, разработанного в инфраструктуре Asim, с использованием инфраструктуры HAsim для создания симулятора на ПЛИС, однако может быть применён и при использования других суще­ ствующих или вновь разрабатываемых инфраструктур, использующих МПпредставление.

Чтобы использовать преимущества ПЛИС для быстрого моделирования аппаратуры, а инструментальной ЭВМ для обработки редких, но сложных по реализации в ПЛИС событий, разрабатываемая модель создаётся гибрид­ ной: времення часть симулятора располагается в ПЛИС, а функциональная, уже обладающая достаточной скоростью, — в инструментальной ЭВМ.

Снижение трудоёмкости разработки гибридного симулятора осуществля­ ется за счёт использования так называемой виртуальной платформы (англ. virtual platform) — стандартизированного набора высокоуровневых интерфейсов, аппаратно-независимой в отличие от физической платформы, специфичной для конкретной ПЛИС.

Виртуальная платформа обеспечивает разработчика средствами для сбо­ ра статистики, отслеживания событий и вывода отладочной информации, а также предоставляет набор служб, абстрактных устройств, иерархию памя­ ти и протокол коммуникации для различных физических платформ. Основной интерфейс между симулятором и платформой представляет асинхронный про­ токол RRR (англ. remote request response).

Первый этап разработки аппаратного симулятора по предлагаемому методу заключается в изучении исходного кода одного из модулей эталонной программной модели. На этом этапе составляется список входных и выходных портов модуля, определяются их имена, задержки, пропускные способности и форматы сообщений, изучается алгоритм работы модуля.

На втором этапе создаётся прототип модуля на языке Bluespec, содер­ жащий все входные и выходные порты, а также вспомогательные компоненты, предназначенные для тестирования разрабатываемого модуля. Вспомогатель­ ные компоненты могут создаваться автоматически с помощью средств генера­ ции кода и включают специальный служебный модуль.

В функции служебного модуля входит создание мнимого окружения для тестируемого модуля, чтение эталонных последовательностей сообщений входных портов из инструментальной ЭВМ и передача их в ПЛИС, а также сохранение последовательностей выходных сообщений модуля и передача их на инструментальную ЭВМ.

На третьем этапе создаётся описание модуля на языке Bluespec. Для этого может использоваться как исходный код программного симулятора, так и документация с описанием работы модуля, наличие которой является жела­ тельным, но не обязательным. Написание алгоритма работы модуля является самой трудоёмкой частью разработки: разработчик должен не только понять реализацию алгоритма на языке C++ в эталонной модели, но и написать эквивалентную реализацию на языке Bluespec.

Порты инфраструктуры HAsim в процессе реализации предложенного метода были дополнены возможностью передачи нескольких сообщений в один модельный такт, а также функциональностью фиксации и сохранения прохо­ дящих через порт сообщений, требующейся для автоматического тестирования модели.

Кроме того, стандартная библиотека языка Bluespec была дополнена собственной библиотекой компонентов, с помощью которых шаг написания модуля существенно упрощался. Разработанная библиотека включила в себя шаблоны односвязного и двусвязного списков, кэша, алгоритмы вытеснения из кэша и др.

Четвёртым этапом разработки является генерация тестовых после­ довательностей для модуля. Для получения тестовых последовательностей используется существующий программный потактовый симулятор (эталон), в котором исходные порты, используемые для передачи сообщений между модулями, заменяются на порты с расширенной функциональностью, позво­ ляющие наряду с передачей сообщений между модулями сохранять поток сообщений с временными метками в специальные двоичные файлы.

Наконец, пятым этапом разработки является проверка модуля с исполь­ зованием полученных тестовых последовательностей. Для этого к тестируемо­ му модулю подключается служебный модуль, который считывает тестовые последовательности, полученные из эталонной модели, и записывает сооб­ щения во входные порты тестируемого модуля. Затем выходные сообщения тестируемого модуля сравниваются с эталонными.

Четвёртая глава посвящена разработке метода и автоматизированной системы модульного тестирования, а также инструментов автоматической ге­ нерации служебного кода, позволяющих существенно сократить трудоёмкость разработки.

С формальной точки зрения, учитывая уравнение (4), восходящий способ разработки и модульное тестирование означает, что разработчику необходимо проверить только | | состояний для каждого отдельного модуля и | | состояний для всех модулей вместо |1 2... | = =0 | | со­ стояний в случае тестирования полной системы.

Для проведения тестирования к разработанному модулю подключается специальный служебный модуль (англ. unit stub), который управляет сбором статистики, подаёт на входные порты последовательность сообщений из файла (журнала), сохранённого ранее при запуске эталонной модели, а также сохра­ няет выходные отклики модуля в отдельные файлы по портам (см. рис. 3).

В дальнейшем выходные последовательности из эталонного и разработан­ ного модулей проверяются на эквивалентность, причём сравнение происходит не в ПЛИС, а в инструментальной ЭВМ — там же, где хранятся тестовые после­ довательности сообщений. Это обусловлено, в первую очередь, ограниченными ПЛИС Рис. 3. Структура модели для тестирования отдельного модуля ресурсами памяти ПЛИС: двоичный файл работы модифицированного порта может занимать несколько гигабайт.

Работа тестируемого модуля считается корректной, если на заданном множестве тестовых последовательностей двоичные трассы выходных сообще­ ний тестируемого модуля совпадают с трассами выходных сообщений модуля эталонной модели.

Система тестирования в автоматическом режиме сравнивает последо­ вательность выходных сообщений тестируемого модуля с эталонной. При обнаружении расхождения указывается порт, в котором было оно определе­ но, номер модельного такта, а также отображаются эталонные сообщения и сообщения от модуля аппаратного симулятора.

Автоматизированная система тестирования существенно упрощает про­ цесс проверки корректности работы модуля, например, автоматизируя созда­ ние служебного модуля, подключаемого к тестируемому модулю или произ­ вольной комбинации модулей разрабатываемого симулятора.

Система тестирования автоматизирует запуск как отдельного теста, так и большого набора тестов. Отдельные тесты позволяют разработчику про­ верить алгоритмы работы написанного модуля, большие наборы тестов — проверить функциональность модуля в различных сценариях, собрать стати­ стику работы модуля и измерить скорость работы симулятора.

Сравнение характеристик тестового микропроцессора с аналогами Характеристика Тестовый МП Intel Core HAsim sim-outorder RAMP Gold Разработанные средства генерации кода позволяют автоматически полу­ чать исходный код для следующей функциональности:

— сериализация выходных сообщений модулей программной и аппарат­ — десериализация входных сигналов модулей программной модели;

— чтение тестовых последовательностей на стороне инструментальной — приём выходных последовательностей из ПЛИС и их запись на стороне инструментальной ЭВМ;

— управляющая логика служебного модуля.

Инфраструктура разработанной системы тестирования локализована, позволяя оставить код непосредственно модулей незатронутым, что дела­ ет разработанный метод тестирования масштабируемым и низкозатратным по сравнению с традиционными методами тестирования, в которых правкам подвергается код симулятора.

Пятая глава посвящена результатам применения предложенных методов и средств для разработки аппаратной модели симулятора четырёхядерного су­ перскалярного микропроцессора с внеочередным исполнением команд (далее — тестовый микропроцессор), характеристики которого приведены в табл. 2, а иерархия модулей — на рис. 4.

В качестве аппаратной платформы для реализации симулятора в насто­ ящей работе был выбран инструментальный модуль Xilinx ML605 на базе ПЛИС Virtex-6 LX240T ёмкостью 241 тыс. логических ячеек. В качестве инструментальной ЭВМ использовалась рабочая станция HP Z800 на базе двух процессоров Intel Xeon X5670, работающих на тактовой частоте 2,93 ГГц.

Интерфейс к функциональной части (фидер) Рис. 4. Структура временнй части разрабатываемой модели микропроцессора Этапы логического синтеза модели выполнялись в системе автоматизиро­ ванного проектирования Synopsys Synplify Pro F-2011.09, а этапы физического синтеза, размещения и трассировки — в системе Xilinx ISE 13.1.

Основной мерой сложности модели, предлагаемой в данной работе, яв­ ляется мера количества строк исходного кода, написанного вручную (без помощи автоматических генераторов кода), без учёта комментариев и пустых строк. Как видно из рис. 5, по количеству строк кода, написанных вручную, аппаратная модель, разработанная в рамках предложенного метода, всего в 1,4 раза сложнее программной модели. Оценка количества строк описания тестового МП на языке Verilog составляет 138 тыс., что почти в 10 раз больше.

Количество строк кода Для оценки эффективности процесса разработки в рамках данного иссле­ дования предложено использовать модель издержек разработки COCOMO II (англ. constructive cost model ), в которой проекты делятся на три класса: орга­ нические (разработка с мягкими ограничения), полуразделённые (смешанные ограничения), встроенные (множество жёстких ограничений).

Трудоёмкость разработки (англ. effort) в человеко-месяцах как функ­ ция размера проекта (англ. size) в тысячах строк кода вычисляется в рамках применяемого нами базового уровня модели по формуле =, где ко­ эффициенты и определяются классом проекта.

Рассматривая проект разработки аппаратного потактового симулятора микропроцессора как встроенный, получим следующую оценку трудоёмкости:

Скоростные характеристики разработанного аппаратного симулятора функциональной части Примечание 2. Ускорение вычислено на основании частоты программной модели, равной 2 кГц.

Фактическое же значение трудоёмкости разработки составило 44 человекомесяцев, что говорит о существенной эффективности разработанных методов и средств, которые позволяют разработчику автоматизировать большинство рутинных операций и использовать существующую программную модель как эталон.

Код служебного модуля, полученный автоматически, гораздо проще кода логики самой модели, поэтому для оценки по модели COCOMO II были взяты коэффициенты для органического класса:

Таким образом, средства автоматической генерации кода позволили сэко­ номить 60 человеко-месяцев, в то время как разработка самих средств генера­ ции заняла примерно 3 человеко-месяца.

Полученные скоростные характеристики разработанного аппаратного си­ мулятора микропроцессора, приведённые в табл. 3, показывают, что при сред­ ней скорости работы эталонного программного симулятора, равной 2 кГц, достигнуто увеличение скорости моделирования в 68 раз (см. рис. 6).

Скорость, кк/с Рис. 6. Сравнение скоростей различных аппаратных и программных симуляторов Оценка логической сложности прототипа тестового микропроцессора, основанная на масштабировании данных по функциональным блокам анало­ гичных проектов, даёт значение в 1,9 млн логических ячеек, что примерно в 11 раз больше, чем логическая сложность разработанной модели (см. рис. 7).

Логические ячейки, тыс Относительно высокая скорость и низкая логическая сложность моделей HAsim, sim-outorder и RAMP Gold объясняется их более низкой точностью, поскольку они разрабатывались в исследовательских целях.

Основные результаты и выводы работы 1. Проведён анализ существующих способов повышения скорости потактовых симуляторов микропроцессоров, в частности:

— предложена классификация способов повышения скорости потактовых моделей микропроцессоров, включая: сэмплинг, абстрагирование, распа­ раллеливание и применение ПЛИС;

— показано, что первые три вида способов решают проблему низкой скоро­ сти за счёт уменьшения точности моделирования, а применение ПЛИС позволяет существенно увеличить скорость моделирования без потери точности, но отличается высокой сложностью.

2. Выделены особенности применения ПЛИС для потактового моделирова­ ния микропроцессоров, позволяющие снизить трудоёмкость по сравнению с разработкой прототипа, а именно:

— показаны преимущества разделения тактов синхросигнала ПЛИС и мо­ делируемого микропроцессора;

— предложено применять МП-представление, позволяющее единым обра­ зом описывать как программные, так и аппаратные модели;

— предложено использовать для описания аппаратуры язык высокого уровня Bluespec SystemVerilog.

3. Разработан восходящий метод модульной разработки аппаратных потакто­ вых симуляторов микропроцессоров на ПЛИС с использованием существу­ ющего программного потактового симулятора в качестве эталона, который:

— позволяет проектировать и разрабатывать модель, начиная с самого нижнего уровня иерархии модулей;

— позволяет существенно сократить трудоёмкость разработки;

— допускает независимую работу коллектива разработчиков.

4. Разработаны метод и система автоматизированного тестирования аппарат­ ных потактовых симуляторов микропроцессоров на ПЛИС, включающая в себя средства автоматической генерации кода, что позволяет:

— тестировать и отлаживать модель на всех этапах разработки, в отли­ чие от методов, когда тестирование и отладка возможны только после создания полной модели;

— существенно упростить процесс тестирования симулятора за счёт авто­ матизации большинства операций;

— исключить ручное написание служебного кода.

5. Проведена апробация разработанных методов и средств для разработки потактового симулятора четырёхядерного суперскалярного микропроцес­ сора с внеочередным исполнением команд, которая показала следующие результаты:

— трудоёмкость разработки в 3 раза ниже по сравнению с данными модели издержек COCOMO II;

— скорость моделирования увеличилась в 68 раз по сравнению с эталонным программным потактовым симулятором;

— использование логических ресурсов ПЛИС в 11 раз ниже по сравнению с оценкой логической сложности прототипа.

Список публикаций по теме исследования 1. Байда Ю. В. Программно-аппаратный симулятор процессора с векторным счетчиком инструкций на базе программируемых логических интеграль­ ных схем // Труды 53-й научной конференции МФТИ «Современные проблемы фундаментальных и прикладных наук». Часть I. Радиотехника и кибернетика. — Т. 1. — М.: МФТИ, 2010. — Ноябрь. — С. 80–81.

2. Байда Ю. В. Переход от программной имитационной модели микропро­ цессора к потактовому симулятору на базе программируемой логики // Сборник статей XI Международной научно-практической конференции «Фундаментальные и прикладные исследования, разработка и применение высоких технологий в промышленности» / Под ред. А. П. Кудинова. — Т. 3: Высокие технологии, образование, промышленность. — СПб.: Изда­ тельство Политехнического университета, 2011. — Апрель. — С. 62–64.

3. Байда Ю. В. Применение программного потактового симулятора микро­ процессора при разработке программно-аппаратной имитационной модели на базе программируемых логических интегральных схем // Научные труды Международной молодёжной научной конференции «XXXVII Гага­ ринские чтения». — Т. 4. — М.: МАТИ, 2011. — Апрель. — С. 35–36.

4. Байда Ю. В. Задача и способы повышения скорости потактового симуля­ тора микропроцессора // Сборник статей XIII Международной научнопрактической конференции «Современные проблемы гуманитарных и есте­ ственных наук». — Т. 1. — М.: Спецкнига, 2012. — Декабрь. — С. 97–99.

5. Байда Ю. В. Опыт разработки аппаратного потактового симулятора мик­ ропроцессора с внеочередным исполнением команд на базе программи­ руемой логики // Научные труды Международной молодёжной научной конференции «XXXVIII Гагаринские чтения». — Т. 4. — М.: МАТИ, 2012. — Апрель. — С. 58–60.

6. Байда Ю. В. Особенности применения программируемых логических ин­ тегральных схем для имитационного моделирования микропроцессоров // Инновации и инвестиции. — 2013. — № 6. — С. 146–149.

7. Байда Ю. В. Повышение качества проектных решений при разработке мик­ ропроцессоров путём радикального увеличения скорости имитационного моделирования // Качество. Инновации. Образование. — 2013. — № 11.

8. Методология перехода от программной потактовой модели микропро­ цессора к аппаратному симулятору на базе программируемой логики / Ю. В. Байда, А. В. Бутузов, А. Г. Ефимов, М. С. Цветков // Труды Москов­ ского физико-технического института (государственного университета). — 2012. — Т. 4, № 3 (15). — С. 114–122.

9. Baida Yu., Butuzov A., Efimov A. Method of converting a microprocessor software performance model to FPGA-based hardware simulator // Computer science and engineering. — 2013. — Vol. 3, no. 2. — P. 35–41.

10. Method and system for automated unit-level testing of FPGA-based cycleaccurate microprocessor simulator using software simulator as a golden model / Yu. Baida, A. Lechenko, A. Efimov, A. Butuzov // Computer science and engineering. — 2013. — Vol. 3, no. 3. — P. 51–55.





Похожие работы:

«АБАКШИН АНТОН ЮРЬЕВИЧ ФИЗИЧЕСКАЯ МОДЕЛЬ ТЕПЛО- И МАССООБМЕНА ВО ВНУТРЕННЕМ КОНТУРЕ ДВИГАТЕЛЯ СТИРЛИНГА СХЕМЫ АЛЬФА Специальность 05.04.02 – Тепловые двигатели АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук Санкт-Петербург – 2014 2 Работа выполнена в Федеральном государственном бюджетном образовательном учреждении высшего профессионального образования Санкт-Петербургский государственный политехнический университет на кафедре Двигатели,...»

«СИЛАЙЧЕВ Павел Александрович ТЕХНОЛОГИЧЕСКИЕ КРИТЕРИИ ОТРАСЛЕВОЙ ПОДГОТОВКИ В ПРОФЕССИОНАЛЬНО -ПЕДАГОГИЧЕСКОМ ОБРАЗОВАНИИ 13.00.08 – теория и методика профессионального образования Автореферат диссертации на соискание у ченой степени доктора педагогических наук Москва 2006 Работа выполнена в Федеральном государственном образовательном учре ждении высшего профессионального образования Московский государственный а гроинженерный университет имени В.П. Горячкина Научный...»

«КОЛЬЧУГИН Антон Николаевич ЛИТОГЕНЕТИЧЕСКИЕ ПРИЗНАКИ КАРБОНАТНЫХ ОТЛОЖЕНИЙ ТУРНЕЙСКОГО И БАШКИРСКОГО ЯРУСОВ НА ЮГО-ВОСТОКЕ ТАТАРСТАНА Специальность 25.00.06 – литология АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата геолого-минералогических наук Казань – 2010 1 Работа выполнена на кафедре минералогии и петрографии геологического факультета Казанского (Приволжского) федерального университета. Научный руководитель : доктор геолого-минералогических наук, доцент,...»

«Жмудяк Александра Леонидовна КОМПЬЮТЕРНАЯ ДИАГНОСТИКА ВЕРОЯТНОСТНЫМИ МЕТОДАМИ И ЕЕ ИСПОЛЬЗОВАНИЕ ДЛЯ ДИФФЕРЕНЦИАЛЬНОЙ ДИАГНОСТИКИ МЕХАНИЧЕСКОЙ И ПАРЕНХИМАТОЗНОЙ ЖЕЛТУХ 05.13.18 – математическое моделирование, численные методы и комплексы программ АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук Барнаул – 2008 Работа выполнена в ГОУ ВПО Алтайский государственный технический университет им. И.И. Ползунова и ГОУ ВПО Алтайский государственный...»

«Прокопенко Наталья Михайловна ЖАНР ПАСТОРАЛИ И ЕГО АКТУАЛИЗАЦИЯ В РАССКАЗАХ И ПОВЕСТЯХ В.П. АСТАФЬЕВА 60-80-х ГОДОВ Специальность 10.01.01. – русская литература АВТОРЕФЕРАТ диссертации на соискание учёной степени кандидата филологических наук Ишим 2010 2 Работа выполнена в ГОУ ВПО Ишимский государственный педагогический институт им. П.П. Ершова. Научный руководитель : доктор филологических наук, профессор Хрящева Нина Петровна Официальные оппоненты : доктор филологических...»

«СИМОНИНА Ольга Александровна МОДЕЛИ РАСЧЕТА ПОКАЗАТЕЛЕЙ QoS В СЕТЯХ СЛЕДУЮЩЕГО ПОКОЛЕНИЯ 05.12.13 – Системы, сети и устройства телекоммуникаций АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук САНКТ-ПЕТЕРБУРГ 2005 ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ Актуальность работы. Согласно принципам построения сетей следующего поколения (Next Generation Network - NGN), основанных на документах МСЭ-Т, в качестве технологической базы построения транспортного уровня...»

«Прокопьев Сергей Анатольевич РАЗРАБОТКА ТЕХНОЛОГИИ УЛЬТРАОКСИПИРОЛИЗА ДРЕВЕСНОЙ БИОМАССЫ ДЛЯ ПОЛУЧЕНИЯ БИОНЕФТИ И ДРЕВЕСНОГО УГЛЯ 05.21.03 — Технология и оборудование химической переработки биомассы дерева; химия древесины АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук Санкт-Петербург 2007 2 Работа выполнена на кафедре технологии лесохимических продуктов и биологически активных веществ Санкт-Петербургской государственной лесотехнической...»

«Донских Екатерина Евгеньевна Молекулярный и микробиологический мониторинг становления микрофлоры кишечника новорожденных 03.02.03.- микробиология Автореферат диссертации на соискание ученой степени кандидата биологических наук МОСКВА – 2010 1 Работа выполнена в Государственном Образовательном Учреждении Высшего профессионального образования Российский Государственный Медицинский Университет Федерального агентства по здравоохранению и социальному развитию. Научные...»

«Гиляров Дмитрий Алексеевич СТРУКТУРНО-ФУНКЦИОНАЛЬНЫЙ АНАЛИЗ ИНГИБИТОРА ДНК-ГИРАЗЫ МИКРОЦИНА Б Специальность 03.01.07 - молекулярная генетика АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата биологических наук Москва 2011 Работа выполнена в лаборатории молекулярной генетики микроорганизмов Учреждения Российской академии наук Института биологии гена РАН. Научный руководитель : доктор биологических наук, профессор Северинов Константин Викторович Официальные...»

«БУНЗЯ Александр Андреевич РАЗРАБОТКА ЭЛЕМЕНТОВ СИСТЕМЫ ДИАГНОСТИКИ ВЫСОКОВОЛЬТНОЙ ИЗОЛЯЦИИ УСТРОЙСТВ ЭЛЕКТРОСНАБЖЕНИЯ ТЯГОВЫХ ПОДСТАНЦИЙ Специальность 05.22.07 – Подвижной состав железных дорог, тяга поездов и электрификация АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук Екатеринбург – 2011 Работа выполнена в Государственном образовательном учреждении высшего профессионального образования Уральский государственный университет путей сообщения...»

«Бунтов Александр Алексеевич ПОЛИТИЧЕСКОЕ ВЛИЯНИЕ КАК СОСТАВЛЯЮЩАЯ ПОЛИТИЧЕСКОГО ПРОЦЕССА СОВРЕМЕННОЙ РОССИИ Специальность 23.00.02 - политические институты, этнополитическая конфликтология, национальные и политические процессы и технологии АВТОРЕФЕРАТ диссертации на соискание учной степени кандидата политических наук Ярославль – 2009 Диссертация выполнена на кафедре социально-политических теорий ГОУ ВПО Ярославский государственный университет им. П.Г. Демидова Научный...»

«Коротаева Наталия Сергеевна выбор лечебНой таКтиКи при тяжелом течеНии язвеННого Колита С учетом заКоНомерНоСтей развития СиНдрома эНдогеННой иНтоКСиКации 14.00.27 – хирургия 14.00.16 – патологическая физиология автореферат диссертации на соискание ученой степени кандидата медицинских наук Иркутск – 2009 Работа выполнена в ГОУ ВПО Иркутский государственный медицинский университет Федерального агентства по здравоохранению и социальному развитию, в научном отделе клинической...»

«ТЫНЧЕРОВ Камиль Талятович ОСНОВЫ ТЕОРИИ И ПРИНЦИПЫ ПОСТРОЕНИЯ ОТКАЗОУСТОЙЧИВЫХ ВЫЧИСЛИТЕЛЬНЫХ СТРУКТУР НА ОСНОВЕ НЕЙРОННЫХ СЕТЕЙ Специальность: 05.13.15 Вычислительные машины, комплексы и компьютерные сети АВТОРЕФЕРАТ диссертации на соискание ученой степени доктора технических наук Москва, 2012 1 Работа выполнена на кафедре информационных технологий, математических и естественных наук филиала федерального государственного бюджетного образовательного учреждения высшего...»

«ТРУСОВ ЛЕВ АРТЁМОВИЧ СИНТЕЗ ИЗ ОКСИДНЫХ СТЁКОЛ И СВОЙСТВА СУБМИКРО- И НАНОЧАСТИЦ ГЕКСАФЕРРИТА СТРОНЦИЯ Специальность 02.00.21 – химия твёрдого тела АВТОРЕФЕРАТ диссертации на соискание учёной степени кандидата химических наук Москва – 2010 Работа выполнена на Факультете наук о материалах и кафедре неорганической химии Химического факультета Московского государственного университета имени М.В. Ломоносова. Научный руководитель : доктор химических наук Казин Павел Евгеньевич...»

«ПЕРЕКАЛИНА Марина Владимировна КЛИНИКО-ДИАГНОСТИЧЕСКИЕ КРИТЕРИИ СУПРАПИЩЕВОДНЫХ СИНДРОМОВ ГАСТРОЭЗОФАГЕАЛЬНОЙ РЕФЛЮКСНОЙ БОЛЕЗНИ 14.01.04 – внутренние болезни АВТОРЕФЕРАТ диссертации на соискание учёной степени кандидата медицинских наук Ставрополь – 2011 Работа выполнена в ГОУ ВПО Ставропольская государственная медицинская академия Министерства здравоохранения и социального развития Российской Федерации Научный руководитель доктор медицинских наук, профессор Пасечников...»

«УДК 327.82:339.9(540) МАВЛАНОВ Ибрагим Раджабович ФОРМИРОВАНИЕ И РАЗВИТИЕ СОВРЕМЕННОЙ ЭКОНОМИЧЕСКОЙ ДИПЛОМАТИИ (на примере Индии) 08.00.09 – Мировая экономика АВТОРЕФЕРАТ диссертации на соискание ученой степени доктора экономических наук Ташкент – 2012 Работа выполнена на кафедре Практическая дипломатия Университета мировой экономики и дипломатии Республики Узбекистан доктор...»

«УРУНОВ Рустам Александрович ПОЛИТИЧЕСКАЯ ЭЛИТА ТАДЖИКИСТАНА НА СОВРЕМЕННОМ ЭТАПЕ Специальность 23.00.02 – политические институты, процессы и технологии АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата политических наук Москва -2011 Работа выполнена на кафедре истории и политологии Государственного университета управления доктор исторических наук, профессор Научный руководитель : Шевченко Василий Николаевич доктор политических наук, профессор Официальные оппоненты...»

«Барышев Владимир Александрович ИСПОЛНЕНИЕ УПРАВЛЕНЧЕСКИХ РЕШЕНИЙ В ОРГАНИЗАЦИЯХ (СОЦИАЛЬНО-ОРИЕНТИРОВАННЫЙ АСПЕКТ) Специальность 22.00.08 - Социология управления (социологические наук и) Автореферат диссертации на соискание ученой степени кандидата социологических наук Москва – 2010 2 Работа выполнена в Государственном образовательном учреждении высшего профессионального образования Московский педагогический государственный университет (МПГУ) на кафедре теории и истории...»

«Шестернина Ольга Валентиновна Научное наследие Э.В. Померанцевой в истории русской фольклористики 10.01.09 – фольклористика АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата филологических наук Москва 2013 Работа выполнена на кафедре русской литературы и фольклора Института гуманитарных наук Московского городского педагогического университета. Научный руководитель : кандидат филологических наук, доцент Райкова Ирина Николаевна Официальные оппоненты : Налепин...»

«ЕЛИЗАРЬЕВА ОЛЬГА АЛЕКСАНДРОВНА ЭКОЛОГО-БИОЛОГИЧЕСКИЕ ОСОБЕННОСТИ ЭНДЕМИКА ЮЖНОГО УРАЛА OXYTROPIS GMELINII FISCH. EX BORISS. (FABACEAE) В УСЛОВИЯХ ИНТРОДУКЦИИ 03.00.05 – Ботаника Автореферат диссертации на соискание ученой степени кандидата биологических наук Уфа – 2009 2 Работа выполнена в лаборатории геоботаники и охраны растительности в Учреждении РАН Институт биологии Уфимского научного центра РАН Научный руководитель : кандидат биологических наук, старший научный...»






 
2014 www.av.disus.ru - «Бесплатная электронная библиотека - Авторефераты, Диссертации, Монографии, Программы»

Материалы этого сайта размещены для ознакомления, все права принадлежат их авторам.
Если Вы не согласны с тем, что Ваш материал размещён на этом сайте, пожалуйста, напишите нам, мы в течении 1-2 рабочих дней удалим его.